Por favor, use este identificador para citar o enlazar este ítem: http://inaoe.repositorioinstitucional.mx/jspui/handle/1009/477
Diseño de un oscilador de anillo controlado digitalmente en tecnología CMOS
LUIS CARLOS ALVAREZ SIMON
ALEJANDRO DIAZ SANCHEZ
JOSE MIGUEL ROCHA PEREZ
Acceso Abierto
Atribución-NoComercial-SinDerivadas
Oscillators
Voltage controlled oscillators
Phase locked loops
En el presente trabajo se realiza el análisis de la celda básica de Maneatis con degeneración en fase basada en redes de retroalimentación, enfocándose al efecto que produce la introducción de un buffer en las cargas simétricas. Con los resultados obtenidos, se obtienen tres topologías que permiten construir osciladores de anillo de dos etapas en un rango de frecuencias de 1GHz a potencias alrededor de 900μW, de manera que la técnica de degeneración en fase con el uso de un buffer presenta un gran potencial para aplicaciones de bajo consumo de potencia. Tres osciladores son diseñados usando un proceso de UMC CMOS de 0.18μm con una capa de polisilicio y 6 niveles de metal: 1.- Oscilador de anillo de dos etapas con señales de salida en cuadratura: En esta topología, además del uso del buffer, es necesario transistores de compuerta flotante para la modificación de las cargas simétricas, requiriendo por lo tanto de unos capacitores que, a pesar de ser de unos cuantos femto Faradios, consumen gran cantidad de área. 2.- Oscilador de anillo de dos etapas con oscilaciones en modo común: En esta topología no es necesario el uso de transistores de compuerta flotante y, por ende, el consumo de área se reduce más del 50% con respecto al anterior. Se le ha denominado en modo común debido a que la modificación de las cargas simétricas provoca que la ganancia de la celda de retardo esté por encima de 0dB con señales de entrada en modo común, de tal manera que a una determinada frecuencia se cumple con los criterios de oscilación para oscilar con dos etapas. La desventaja de ésta comparada con la topología anterior es que, al operar las celdas en modo común, sólo se obtienen señales desfasadas 180° y, por ende, no es posible la obtención de las señales en cuadratura. 3.- Oscilador controlado digitalmente (DCO): La modificación de las cargas simétricas permite que la frecuencia de oscilación ahora dependa directamente de la celda de retardo que es introducida en dichas cargas. Con base a esto, se propone una celda que modifica su respuesta en frecuencia mediante una palabra digital, de tal manera que, al implementar un oscilador de anillo de dos etapas, su frecuencia de oscilación depende linealmente de la palabra digital de entrada. En términos más simples, la degeneración en fase es usada como método de programación de la frecuencia de oscilación.
Instituto Nacional de Astrofísica, Óptica y Electrónica
2010-02
Tesis de maestría
Español
Estudiantes
Investigadores
Público en general
Alvarez-Simon L.C.
ELECTRÓNICA
Versión aceptada
acceptedVersion - Versión aceptada
Aparece en las colecciones: Maestría en Electrónica

Cargar archivos:


Fichero Tamaño Formato  
AlvarezSLCC.pdf2.94 MBAdobe PDFVisualizar/Abrir